Sie befinden Sich nicht im Netzwerk der Universität Paderborn. Der Zugriff auf elektronische Ressourcen ist gegebenenfalls nur via VPN oder Shibboleth (DFN-AAI) möglich. mehr Informationen...
Ergebnis 16 von 17

Details

Autor(en) / Beteiligte
Titel
2014 International Conference on Planarization/CMP Technology (ICPT) : 19-21 Nov. 2014, Kobe, Japan
Ort / Verlag
Piscataway, New Jersey : IEEE,
Erscheinungsjahr
2014
Link zum Volltext
Beschreibungen/Notizen
  • Plenary Sessions: -- 1 Challenges of CMP technology for next generation NAND flash memories (Keynote1) / Yukiteru Matsui (Toshiba) -- 2 New Era of Power Electronics by SiC Power Devices Evolution (Keynote2) / Kazuhide Ino (Rohm) -- Special Sessions: -- 1 "KENMA", the origin of manufacturing, planarization CMP and its future -A breakthrough toward high-efficient machining of hard-to-machine innovative materials(Special Talk1) / Toshiro Doi (Kyushu Univ.) -- 2 Paradigm Cliff 2020 - The future of semi-conductor device and CMP technologies (Special Talk2) / Manabu Tsujimura (Ebara) -- Session 1: Front-end -- 1-1 Improving Defectivity for III-V CMP Processes for <10 nm Technology Nodes / Lieve Teugels, Patrick Ong, Guillaume Boccardi, Niamh Waldron, Sheikh Ansar, Joerg Max Siebert, Leonardus A.H. Leunissen (imec, BASF Belgium, BASF SE) -- 1-2 CMP on SiGe Materials - Linking Chemical and Physical Properties to Design low Defect and Selective Slurries / Max Siebert, Leonardus H. A. Leunissen, Patrick Ong,Lieve Teugels, Sheikh Ansar Usman Ibrahim, Kevin Huang (BASF SE, Imec, BASF Belgium, BASF Taiwan Ltd.) -- 1-3 Nano Size Cerium Hydroxide Slurry for Scratch-Free CMP Process / Takaaki Tanaka, Hisataka Minami, Toshiaki Akutsu, Tomohiro Iwano, Takahiro Hidaka, Takashi Shinoda, Haruaki Sakurai, Shigeru Nobe (Hitachi Chemical Co., Ltd.,) -- 1-4 W CMP for C14nm and Beyond: Barrier Selective Approach / Catherine Euvrard, Aurelien Seignard, Emmanuel Gourvest, Viorel Balan, Sebastien Gaillard, Maurice Rivoire (CEA, LETI, MINATEC Campus, STMicroelectronics) -- Session 2: Modeling & Simulation -- 2-1 Practical usage of simulation technology in CMP process development (Invited) / Shunichi Shibuki (Sony) -- 2-2 Analytical Investigation on Polishing Pressure Distribution by Utilizing Three-Dimensional Process Simulation / Yohei Hashimoto, Norikazu Suzuki, Akimitsu Kato, Masakazu Asaba, Eiji Shamoto, Hozumi Yasuda, Satoru Yamaki (Nagoya university, Ebara Corporation) -- 2-3 Study of Abrasive-Particle Size Dependence of Polishing Rate Based on a Simple Mechanical Model / Yoshiaki Oshima, Tomohiro Onda (KAO Corporation) -- 2-4 Chemical Mechanical Polishing Mechanisms for Gallium Nitride: Quantum Chemical Molecular Dynamics Simulations / Kentaro Kawaguchi, Takehiro Aizawa, Yuji Higuchi, Nobuki Ozawa, Momoji Kubo (Tohoku University) -- Session 3: Metrology & Equipment -- 3-1 Novel Slurry Injection System for Improved Slurry Flow, Enhanced Material Removal and Reduced Defects in CMP / Yun Zhuang, Yasa Sampurno, Leonard Borucki, Ara Philipossian (Araca, Inc) -- 3-2 Correlation of Large Particle Count Data in CMP Slurry with Production Wafer Defects / Jonathan Bennett and Michael A. Fury (IM Flash Technologies, Vantage Technology Corporation) -- 3-3 Innovative Advanced Nanotechnology Test Mask for Chemical and Mechanical Planarization Process Prediction / Frank Tolic, Tricia Burroughs, Christopher Borst, Richard Hill, Dinesh Penigelapati, Jakub Nalaskowski, Satyavolu PapaRao (College of Nanoscale Science and Engineering, SEMATECH) -- Session 4: Back-end -- 4-1 Challenges of CMP Manufacturability in Advanced Nodes (Invited) / Jiun Yu Lai (TSMC) -- 4-2 CMP process development for Cobalt liner integration at the 28-nm-node / Johannes Koch, Sascha Bott, Marcus Wislicenus, Robert, Krause, Lukas Gerlich, Benjamin Uhlig, Romy Liske, Boris Vasilev, Axel Preusse (Fraunhofer IPMS-CNT, GLOBALFOUNDRIES Module One LLC Co.) -- 4-3 Optimized Copper Chemical Mechanical Polishing with CVD Co Barrier at 14nm Technology Node / H. K. Hsu a, Y. M. Lin, L. C. Hsu, Y. T. Li, Y. L. Liu, W. S. Sie , Oliver Wang, C. C. Huang, J. Y. Wu (United Microelectronics Corp) -- 4-4 Effects of Colloidal Silica on the CMP of Molybdenum in the Alkaline Slurry / Hui Feng, Li-Ao Cao, Ji-Yu Feng, Xin-Ping Qu (Fudan University) -- 4-5 Investigation of Cu-BTA Complex Formation and Removal on Various Cu Surface Conditions / Byoung-Jun Cho, Jin-Goo Park, Shohei Shima, Satomi Hamada (Hanyang University,EBARA Corporation) -- Session 5: CMP Fundamentals -- 5-1 Fundamentals of CMP Polishing of Metallic and Hard Materials (Invited) / Rajiv Singh (Florida University) -- 5-2 CMP process - Integrated chemical & physical phenomena in between wafer and polishing pad / Keiichi Kimura, Chao-Chang A. Chen (National Taiwan University Science and Technology) -- 5-3 Surface Conductivity of Ceria Abrasives During Glass Polishing / Seiichi Suda, Taku Sugimoto, Atsushi Masuda, Fumihiro Sagane (Shizuoka University) -- 5-4 Surface Potential Change with Droplet Formation and Cu Watermark Growth by Electrochemical Oxidation Resulting Therefrom / Shohei Shima, Satomi Hamada, Yutaka Wada, and Akira Fukunaga (Ebara Corporation) -- 5-5 Analysis of Wafer Edge Pressure Distribution using Intelligent Pad in Chemical Mechanical Polishing / Changsuk Lee, Jaehong Park, Han Wang, Haedo Jeong (Pusan National University, Nitta Haas) -- Session 6: Emerging/TSV,3D-IC -- 6-1 TSV CMP Process Optimization and Dishing Defect Reduction (Invited) / Xinchun Lu (Tshingfua University) -- 6-2 CMP process for selectively-grown carbon nanotubes in via structure / Ban Ito, Makoto Wada, Tatsuro Saito, Daisuke Nishide, Takashi Matsumoto, Masayuki Katagiri, Masahito Watanabe, Naoshi Sakuma, Akihiro Kajita, Tadashi Sakai (Low-power Electronics Association & Project (LEAP)) -- 6-3 New Materials and Novel Applications for CMP / Robert L. Rhoades (Entrepix, Inc.) -- 6-4 Silicon Nitride Stop Layer in Back-End-of-Line Planarization for Wafer Bonding Application / Marco Lisker, Andreas Trusch, Andreas Krüger, Mirko Fraschke, Bernd Tillack, Nils Weimann, Ina Ostermay, Olaf Krüger (IHP,Ferdinand-Braun-Institut) -- 6-5 Metal CMP: Perfecting Surfaces / Viorel Balan, Aurélien Seignard, Catherine Euvrard, Clément Chaffard, Daniel Scevola, Maurice Rivoire (CEA, LETI, MINATEC Campus,Univ. Grenoble Alpes, STMicroelectronics,) -- Session 7: Slurry and Cleaning -- 7-1 CMP Process Development for Cu/low-k with Ru Liner (Invited) / BoUn Yoon (Samsung Electronics Co., Ltd.) -- 7-3 A Theoretical Study on Rate Accelerator for Si CMP / Akira Endou, Shota Suzuki, Tomohiko Akatsuka, and Kazumi Sugai (FUJIMI Incorporated R&D Center) -- 7-4 FEOL post CMP Cleaner Development / Cuong Tran, Steve Medd, Donald Frye (Entegris) -- 7-5 Tackling Hillocks Growth after Aluminum CMP / M. R. Müller, K. Kallis, U. Künzelmann, S. Menzel, I. Petrov, J. Knoch (TU Dortmund University, TU Dresden University, Leibniz Institute for Solid State and Materials Research Dresden, Werkstoffe und Nanoelektronik Ruhr-Universität Bochum, RWTH Aachen University) -- 7-6 Development of the Post-Chemical Mechanical Polishing Cleaner Suppressing Galvanic Corrosion between Copper and the Co Barrier Metal / Tomohiro Kusano, Toshiyuki Shibata, Atsushi Itou, Fumikazu Mizutani (Mitsubishi Chemical Corporation) -- Session 8: Green Device -- 8-1 Atomically Controlled Surfacing of Single Crystalline SiC and GaN by Catalyst-Referred Etching (Invited) / Kazuto Yamauchi (Osaka Univ.) -- 8-2 Study on a novel CMP/P-CVM fusion processing system (Type B) and its basic characteristics / Koki Oyama, Toshiro K. Doi, Yasuhisa Sano, Syuhei Kurokawa, Hideo Aida, Tadakazu Miyashita, Senogwoo Kim, Tsutomu Yamazaki, Hideakli Nishizawa (Namiki Precision Jewel, Kyushu University, Osaka University, Fujikoshi Machinery Corporation) -- 8-3 Study on Controlling Mechanism of Step-Terrace Structure in CeO2 Slurry Polishing of 4H-SiC / Hui Deng, Katsuyoshi Endo, Kazuya Yamamura (Osaka University) -- Session 9: Pad & Dresser -- 9-1 Effective Surface Design for Reduced Scratches on CMP (Invited) / Ji Chul Yang (AME (Advanced Module Engineering) Globalfoundries US) -- 9-2 Microreplicated Pad Conditioner for Copper and Copper Barrier CMP Applications / Wei-Tsu Tseng*, Sana Rafie, Adam Ticknor, Vamsi Devarapalli, Elliott Rill, John Zabasajja, Jennifer Sokol, Vince Laraia, Matt Fritz, Chuck Gould (IBM Semiconductor R&D Center, 3M Electronics Materials Solutions Division,) -- 9-3 The Experimental Study on relationship between shape of diamond and micro scratches / Dong-won Oh, Hojoong Kim, Myung-sik Han,Ha-sub Hwang, Taesung Kim, (Samsung Electronics, Sungkyunkwan University) -- 9-4 Long Life Mechanism on a Flexible Fiber Conditioner in CMP / Yutaro Arai, Takashi Fujita, Naoki Takahashi, Michio Uneda (Showa Industries Co., Ltd, Tokyo seimitsu Co.,Ltd., Kanazawa Inst. of Tech.).
  • 9-5 Development of Innovative "Dilatancy Pad" Realizing Super High Efficiency and High-grade Polishing of SiC Wide Band Gap Semiconductor Substrates / Toshiro K. Doi, Tsutomu Yamazaki, Kiyoshi Seshimo, Hideaki Nishizawa, Hideo Aida, Masataka Takagi, Hideo Aida, Masanori Ohtsubo,Sachi Murakami (Kyushu University, Fujibo Ehime CO., LTD., Namiki Precision Jewel CO., LTD.,) -- 9-6 Soft CMP Pads for Low Defectivity in CMP Processes / Fengji Yeh, Anson Yeh, MartyW. DeGroot, Bainian Qian, Arun Reddy, Todd Buley (The Dow Chemical Company) -- 9-7 Advanced Cu CMP Pad for Reducing Scratches / Hiroyuki Tano, Katsutaka Yokoi, Hideki Nishimura, Ayako Maekawa, Takami Hirao and Satoshi Kamo (JSR Corporation) -- Poster session -- P1 High-k Metal Gate Poly Opening Polish at 28nm Technology Polish Rate and Selective Study / W. S. Sie, Y. L. Liu, C. L. Chen, P. C. Huang, W. C. Hong, Y. T. Li, R. G. Lin, Oliver Wang, J. Y. Wu (United Microelectronics Corp.) -- P2 The Amorphous-Si CMP Process Improvement for L14 nm FinFET Technology Node / Y. T. Li, P. C. Huang, F. S. Tsai, K. R. Li, C. H. Lin, Z. J. Lin, Y. L. Liu, W. S. Sie, S. K. Hsu. Y. M. Lin,W. C. Lin, C.C. Liu, J.F. Lin, J. Y. Wu (United Microelectronics Corp.) -- P3 About the Application of CMP for Monolithic Integration of Photonic Components in a 0.25 µm BiCMOS Process / Andreas Trusch, Marco Lisker, Yuji Yamamoto, Andreas Krüger, Stefan Lischke, Dieter Knoll, Bernd Tillack (IHP) -- P4 Effects of Ce3+ on Removal Rate of Ceria Slurries in Chemical Mechanical Polishing for SiO2 / Haruhiko Doi, Masahiko Suzuki, Kouji Kinuta (Kao Corporation) -- P5 Dummy Design Characterization for STI CMP with Fixed Abrasive / Diana Tsvetanova, Katia Devriendt, Patrick Ong, Tom Vandeweyer, Tinne Delande, Soon Aik Chew, Naoto Horiguchi, Herbert Struyf (IMEC) -- P8 Chemical Mechanical Properties of Perovskite Oxide Abrasive Grain: First-Principles Approach / Nobuki Ozawa, Yuji Higuchi, Momoji Kubo (Tohoku University) -- P9 Study on Evaluation Method for Polishing Pad Surface Topography Based on Optical Fourier Transform / Panart Khajornrungruang, Keisuke Suzuki, Takashi Kushida (formerly) , Takahiro Tajiri, Hisanori Matsuo, Yoshihiro Mochizuki, Hirokuni Hiyama, Keiichi Kimura (Kyushu Institute of Techonology) -- P10 Investigation on the Galvanic Corrosion of Copper during Chemical Mechanical Polishing of Ruthenium Barrier Layer / Liang Jiang, Yongyong He, Xinchun Lu, Jianbin Luo (Tsinghua University) -- P11 Ruthenium and Copper CMP in Periodate-based Slurry with BTA and K2MoO4 as Compound Corrosion Inhibitors / ChengJie, Tongqing Wang, Jie Wang, Junyu Ou, Yongyong He, Xinchun Lu (Tsinghua University) -- P12 Wafer Extreme-Far Edge Related Study in BEOL (Back-End-of-Line) Including BEOL Chemical Mechanical Polishing at 28nm Technology Node and Beyond / Y. M. Lin, S. K. Hsu, L. C. Hsu, C. H. Hsu, C. L. Wu, W. K. Lee, W. C. Lin, W. S. Sie, Y. L. Liu, Y. T. Lee, Oliver Wang, C. C. Huang, J. F. Lin, J. Y. Wu (United Microelectronics Corp.) -- P13 Hydrogen Peroxide Concentration Monitoring for CMP slurry / Yoko Nakai, Yuichiro Morimoto, So Takagi ,Yoshihiro Mori (HORIBA, Ltd) -- P14 The Selection of Chemicals in CMP Slurry via Electrochemical Screening / Te-Yu Wei, Haci Osman Guevenc, Leonardus H.A. Leunissen (BASF Taiwan Ltd, BASF) -- P15 Cobalt Polishing Slurries for 10 nm and Beyond / Luling Wang, Abhudaya Mishra, Benjamin Cruz, and Richard Wen (FUJIFILM Planar Solutions) -- P16 Visualization of Slurry Flow in Polishing Pad Asperity Area / Akira Fukuda, Masahito Mitarai (National Institute of Technology, Tokuyama College) -- P17 Atomically Smooth Gallium Nitride Surfaces Generated by Chemical Mechanical Polishing with Non-noble Metal Catalyst(Fe-Nx/C) in Acid Solution / Li Xu, Guoshun Pan, Chunli Zou, Xiaolei Shi, Yuyu Liu (Tsinghua University, Tohoku University) -- P18 CMP of GaN Using Sulfate Radicals Generated By Metal Catalyst / Zou Chunli, Pan Guoshun, Xu Li, Shi Xiaolei, Yuyu Liu (Tsinghua University, Tohoku University) -- P19 The Effects of Ultra-smooth Surface Atomic Step Morphology on CMP Performances of Sapphire and SiC Wafers / Yan Zhou, Guoshun Pan, Xiaolei Shi, Chunli Zou, Hua Gong, Li Xu, Guihai Luo (Tsinghua University) -- P20 Realization of atomic-level smooth surface of sapphire (0001) by chemical-mechanical planarization with nano colloidal silica abrasives / Xiaolei Shi, Guoshun Pan, Yan Zhou, Yuhong Liu (Tsinghua University) -- P21 Effects of Pump-Induced Particle Agglomeration During Chemical Mechanical Planarization (CMP) / Young-Gil Seo, Periyasamy Elaiyaraju, Jin-Goo Park (Hanyang University) -- P22 Fundamental study of air flow effects on liquid removal from wafer surface / Naoyuki Handa, Kenji Amagai, Akira Fukunaga, Masyoshi imai, Satomi Hamada (Gunma University, EBARA Corporation) -- P23 Cu corrosion in laminar flow using microfluidic channels / Masahito Abe, Chikako Takato, Satomi Hamada, Masanori Hayase (Ebara Corporation, Tokyo University of Science) -- P24 Proposal and development of newly high-speed/high-pressure polishing machine aiming at the super high efficiency process of the hard-toprocess substrates / Tsutomu Yamazaki, Kiyoshi Seshimo, Toshiro K. Doi, Tadakazu Miyashita, Daizo Ichikawa, Masanori -- Ohtsubo (Kyushu University, Fujikoshi Machinery Corp,) -- P25 Planarization of 4H-SiC(0001) by Catalyst-Referred Etching Using Pure Water Etchant / Ai Isohashi, Yasuhisa Sano, Kazuto Yamauchi (Osaka University) -- P26 Development of Basic-Type CMP/P-CVM Fusion Processing System (Type A) and Its Fundamental Characteristics / Kousuke Shiozawa, Yasuhisa Sano, Toshiro Doi, Syuhei kurokawa, Hideo Aida, Koki Oyama, Tadakazu Miyashita, Haruo Sumizawa, Kazuto Yamauchi (Osaka University) -- P27 Processing Characteristics of SiC Wafer by Consideration of Oxidation Effect in Different Atmospheric Environment / Ji Zhang , Syuhei Kurokawa, Terutake Hayashi, Eiji Asakawa, Chengwu Wang (Kyushu University) -- P28 Large Particle Reduction by Porous Membrane Filter / Masato Sumiya (Nihon Pall Ltd) -- P29 The Oxidant Impact for Tungsten Polishing / Koichiro Hosokawa, Shoichiro Yoshida, Yoshiharu Ota (NITTA HAAS INCORPORATED) -- P30 Reducing Slurry Agglomeration with Low Shear Filtration / YiWei Lu, Bob Shie, Dean Tsou, Steven Hsiao, Henry Wang (Asia Application Development and Laboratory Entegris Inc.) -- P31 Wafer edge roll-off improvement by protective agent in polishing slurry at double side polishing process / Shinichiro Takami, Shogaku Ide, Makoto Tabata (FUJIMI INCORPORATED) -- P32 Effect of slurry temperature on removal characteristics in cadmium telluride CMP / Byeongcheol Shin, Eunjeong Park, Dasol Lee, Haedo Jeong (Pusan National University) -- P33 CMP CONSUMABLES: Suppliers, Applications, Performance, and Growth / Bob Roberts (Axus Technology) -- P34 CMP Material Development for Ceria-Based Applications / Ron Tseng, David Tsai, Kevin Tung, Marty W. DeGroot, Bainian Qian, Youngrae Park, Todd W. Buley (Rohm and Haas Electronic Materials Asia Pacific Co. Ltd, The Dow Chemical Company) -- P35 3M MICROREPLICATED TRIZACTTM PAD CONDITIONERS FOR FEOL TUNGSTEN PLUG AND METAL GATE APPLICATIONS / John Zabasajja, Jennifer Sokol, Vince Laraia, Matthew Fritz, Junqing Xie, Charles Gould (3M Electronic Materials Solutions Division) -- P37 Characteristic of Pad Cut Rate as Conditioner Structure / Cheolmin Shin, Sunjae Jang, Hongyi Qin, Jichul Yang, Taesung Kim (Sungkyunkwan University) -- P38 The Effect of Fluid pH for 2-Body Lapping Process / Hyuk-Min Kim, Young-Gil Seo, Jin-Goo Park (Hanyang University) -- P40 Effect of mechanical polishing on copper in electrochemical-mechanical polishing / Pei-Jiun R. Shiu, Chao-Chang A. Chen (National Taiwan University) -- P44 Effect of Benzoyl Peroxide/N, N-Dimethyl aniline initiating system on material removal rate in abrasive-free polishing of hard disk substrate / Ting Jiang, Hong Lei (Shanghai University) -- P45 Defect Reduction with CMP Pad Dressing Optimization / Yi-Liang Liu, Wu-Sian Sie, Chun-Lin Chen, P.C. Huang, Yu-Ting Li, Renn Guey Lin, Yu Min Lin, Hisn-Kuo Hsu, Oliver Wang, J.F. Lin, J.Y.
  • Wu (United Microelectronics Corp) -- P46 Measurements of Normal and Friction Forces during Brush Scrubbing / Kenya Nishio, Yoshitaka Hara, Toshiyuki Sanada, Hirokuni Hiyama, Akira Fukunaga (Shizuoka Univerisity, Ebara Corporation) -- P47 Atomic scale flattening of gallium nitride substrate grown by Na flux method applying catalystreferred etching / Wataru Yamaguchi, Shun Sadakuni, Ai Isohashi, Hiroya Asano, Yasuhisa Sano,Mamoru Imade, Mihoko Maruyama, Masashi Yoshimura, Yusuke Mori, Kazuto Yamauchi (Osaka University) -- P49 Degradation Behavior of Ceria-based Abrasives Slurry during Glass Polishing / Koichi Kawahara, Toshimasa Suzuki, Seiichi Suda (Japan Fine Ceramics Center, Shizuoka University) -- P50 Planarization of brittle materials by laser assisted machining / Hironori Yamashida, Hidetoshi Takeda, Hideo Aida (Namiki Precision Jewel) -- P51 A Study on Swing-Arm Conditioning for Enhancing Pad Lifetime in CMP / Hyunseop Lee, Dasol Lee, Haedo Jeong, Sangjik Lee (Tongmyong University, Pusan National University, Korea Institute of Industrial Technology) -- P52 A Novel Pad Conditioner and Pad Roughness Effects on Tungsten CMP / Donghyun Lim, Hojoong Kim, Bongyoung Jang, Honglae Cho, Junyong Kim, Hasub Hwang (SAMSUNG Electronics).
  • ICPT as an international symposium for Planarization CMP is a magnificent opportunity to have discussions on technologies including FEOL and BEOL CMP, 3D TSV, Fundamentals of CMP, Polishing Processes, Consumables, Equipment, Green Devices, New Applications, Metrology, Cleaning, Defect Control, Process Control, CMP Alternatives, SiC, GaN, Sapphire and Diamond The conference provides a place where every relevant researcher and engineer can get together to discuss openly and exchange information widely Enthusiastic presentations and discussions are expected to be on an equal footing, flat like the surface of wafer, no matter what country, organization position and technology area he she belongs to.
  • Description based on publisher supplied metadata and other sources.
Sprache
Identifikatoren
ISBN: 1-4799-5557-4
Titel-ID: 9925162876606463
Format
1 online resource (355 pages)
Schlagworte
Chemical mechanical planarization