Sie befinden Sich nicht im Netzwerk der Universität Paderborn. Der Zugriff auf elektronische Ressourcen ist gegebenenfalls nur via VPN oder Shibboleth (DFN-AAI) möglich. mehr Informationen...
2016 IEEE International Test Conference (ITC), 2016, p.1-8
2016
Volltextzugriff (PDF)

IEEE transactions on computer-aided design of integrated circuits and systems, 2017-12, Vol.36 (12), p.2120-2133
2017
Volltextzugriff (PDF)

2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2019, p.1673-1678
2019
Volltextzugriff (PDF)

2016 IEEE International Test Conference (ITC), 2016, p.1-10
2016
Volltextzugriff (PDF)

2016 IEEE International Test Conference (ITC), 2016, p.1-8
2016
Volltextzugriff (PDF)


2017 IEEE International Symposium on Circuits and Systems (ISCAS), 2017, p.1-4
2017
Volltextzugriff (PDF)

2018 IEEE 36th VLSI Test Symposium (VTS), 2018, p.1-1
2018
Volltextzugriff (PDF)

2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2016, p.1-6
2016
Volltextzugriff (PDF)

2015 IEEE 33rd VLSI Test Symposium (VTS), 2015, p.1-6
2015
Volltextzugriff (PDF)


2009 IEEE International Conference on Computer Design, 2009, p.64-69
2009
Volltextzugriff (PDF)

Adapting to adaptive testing
Proceedings of the Conference on Design, Automation and Test in Europe, 2010, p.556-561
2010
Volltextzugriff (PDF)

Adapting to adaptive testing
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), 2010, p.556-561
2010
Volltextzugriff (PDF)

IEEE International Conference on Test, 2005, 2005, p.10 pp.-155
2005
Volltextzugriff (PDF)

2006 IEEE International Reliability Physics Symposium Proceedings, 2006, p.552-559
2006
Volltextzugriff (PDF)

2015 International Conference on Computing Communication Control and Automation, 2015, p.863-867
2015
Volltextzugriff (PDF)

2015 International Conference on Computing Communication Control and Automation, 2015, p.989-991
2015
Volltextzugriff (PDF)

Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015, p.9-14
2015
Volltextzugriff (PDF)

2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2015, p.9-14
2015
Volltextzugriff (PDF)

2016 IEEE International Symposium on Circuits and Systems (ISCAS), 2016, p.898-901
2016
Volltextzugriff (PDF)


IEEE design & test of computers, 2009-09, Vol.26 (5), p.64-73
2009
Volltextzugriff (PDF)

IEEE design & test of computers, 2009-09, Vol.26 (5), p.74-82
2009
Volltextzugriff (PDF)

IEEE design and test, 2013, p.1-1
2013
Volltextzugriff (PDF)
Suchergebnisse filtern
Filter anzeigen
Sprache
Erscheinungsjahr
n.n
n.n
Neu hinzugefügt