Sie befinden Sich nicht im Netzwerk der Universität Paderborn. Der Zugriff auf elektronische Ressourcen ist gegebenenfalls nur via VPN oder Shibboleth (DFN-AAI) möglich. mehr Informationen...
2006 43rd ACM/IEEE Design Automation Conference, 2006, p.19-24
2006
Volltextzugriff (PDF)

Proceedings - ACM IEEE Design Automation Conference, 2001, p.858-863
2001
Volltextzugriff (PDF)

2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012, p.1150-1155
2012
Volltextzugriff (PDF)

Annual ACM IEEE Design Automation Conference: Proceedings of the 40th conference on Design automation; 02-06 June 2003, 2003, p.958-963
2003
Volltextzugriff (PDF)

2020 27th IEEE International Conference on Electronics, Circuits and Systems (ICECS), 2020, p.1-4
2020
Volltextzugriff (PDF)

Annual ACM IEEE Design Automation Conference: Proceedings of the 42nd annual conference on Design automation; 13-17 June 2005, 2005, p.869-874
2005
Volltextzugriff (PDF)

Design, Automation, and Test in Europe: Proceedings of the conference on Design, automation and test in Europe; 04-08 Mar. 2002, 2002, p.581-585
2002
Volltextzugriff (PDF)

IEEE Custom Integrated Circuits Conference 2010, 2010, p.1-4
2010
Volltextzugriff (PDF)

Digest of technical papers - IEEE/ACM International Conference on Computer-Aided Design, 2001, p.343-349
2001
Volltextzugriff (PDF)

Design, Automation, and Test in Europe: Proceedings of the conference on Design, automation and test in Europe; 04-08 Mar. 2002, 2002, p.78-83
2002
Volltextzugriff (PDF)

Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078), 1999, p.323-327
1999
Volltextzugriff (PDF)

2010 17th IEEE International Conference on Electronics, Circuits and Systems, 2010, p.37-40
2010
Volltextzugriff (PDF)

2009 Design, Automation & Test in Europe Conference & Exhibition, 2009, p.274-279
2009
Volltextzugriff (PDF)

2007 Design, Automation & Test in Europe Conference & Exhibition, 2007, p.1-6
2007
Volltextzugriff (PDF)

2009 Design, Automation & Test in Europe Conference & Exhibition, 2009, p.724-729
2009
Volltextzugriff (PDF)

2008 Design, Automation and Test in Europe, 2008, p.140-145
2008
Volltextzugriff (PDF)

2008 IEEE International Conference on Computer Design, 2008, p.188-193
2008
Volltextzugriff (PDF)

IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004, 2004, p.847-854
2004
Volltextzugriff (PDF)

2007 IEEE Custom Integrated Circuits Conference, 2007, p.607-610
2007
Volltextzugriff (PDF)

ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486), 2003, p.241-246
2003
Volltextzugriff (PDF)

Digest of technical papers - IEEE/ACM International Conference on Computer-Aided Design, 2000, p.557-561
2000
Volltextzugriff (PDF)

Proceedings of the 2009 12th International Symposium on Integrated Circuits, 2009, p.113-116
2009
Volltextzugriff (PDF)

2006 IEEE International Symposium on Circuits and Systems (ISCAS), 2006, p.4 pp.
2006
Volltextzugriff (PDF)

BMAS 2005. Proceedings of the 2005 IEEE International Behavioral Modeling and Simulation Workshop, 2005, 2005, p.100-105
2005
Volltextzugriff (PDF)

Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044), 2000, p.511-514
2000
Volltextzugriff (PDF)