Sie befinden Sich nicht im Netzwerk der Universität Paderborn. Der Zugriff auf elektronische Ressourcen ist gegebenenfalls nur via VPN oder Shibboleth (DFN-AAI) möglich. mehr Informationen...
Ergebnis 1 von 19

Details

Autor(en) / Beteiligte
Titel
The Involution Tool for Accurate Digital Timing and Power Analysis
Ist Teil von
  • Integration (Amsterdam), 2021-01, Vol.76, p.87-98
Ort / Verlag
Amsterdam: Elsevier B.V
Erscheinungsjahr
2021
Link zum Volltext
Quelle
Access via ScienceDirect (Elsevier)
Beschreibungen/Notizen
  • We introduce the prototype of a digital timing simulation and power analysis tool for integrated circuits that supports the involution delay model (Függer et al. 2019). Unlike the pure and inertial delay models typically used in digital timing analysis tools, the involution model faithfully captures short pulse propagation and related effects. Our Involution Tool facilitates experimental accuracy evaluation of variants of involution models, by comparing their timing and power predictions to those from SPICE and standard timing analysis tools. The tool is easily customizable w.r.t. instances of the involution model and circuits, and supports automatic test case generation and parameter sweeping. We demonstrate the capabilities of the Involution Tool by providing timing and power analysis results for three different circuits, namely, an inverter tree, the clock tree of an open-source processor, and a combinational circuit that involves multi-input NAND gates. Our evaluation uses two different technologies (15 nm and 65 nm CMOS), and three different variants of involution channels (Exp, Hill and SumExp-channels). It turns out that the timing and power predictions of all involution models are significantly better than the predictions obtained by standard digital simulations for the inverter tree and the clock tree, with the SumExp-channel channel clearly outperforming the others. For the NAND circuit, the performance of any involution model is generally comparable but not significantly better than that of standard models, however, which reveals some shortcomings of the existing involution channels for modeling multi-input gates. •Presentation of the Involution Tool for digital timing and power simulation•Fully automatic execution of elaborate dynamic delay estimation models•Accuracy comparison of timing predictions of different delay models•Experimental validation of different switching waveforms•Evidence for shortcomings of single-input single-output involution channels
Sprache
Englisch
Identifikatoren
ISSN: 0167-9260
eISSN: 1872-7522
DOI: 10.1016/j.vlsi.2020.09.007
Titel-ID: cdi_proquest_journals_2487166832

Weiterführende Literatur

Empfehlungen zum selben Thema automatisch vorgeschlagen von bX