Sie befinden Sich nicht im Netzwerk der Universität Paderborn. Der Zugriff auf elektronische Ressourcen ist gegebenenfalls nur via VPN oder Shibboleth (DFN-AAI) möglich. mehr Informationen...
Ergebnis 2 von 43
2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2016, p.1-12
2016

Details

Autor(en) / Beteiligte
Titel
Improving bank-level parallelism for irregular applications
Ist Teil von
  • 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2016, p.1-12
Ort / Verlag
IEEE
Erscheinungsjahr
2016
Link zum Volltext
Quelle
IEEE Xplore
Beschreibungen/Notizen
  • Observing that large multithreaded applications with irregular data access patterns exhibit very low memory bank-level parallelism (BLP) during their execution, we propose a novel loop iteration scheduling strategy built upon the inspector-executor paradigm. A unique characteristic of this strategy is that it considers both bank-level parallelism (from an inter-core perspective) and bank reuse (from an intra-core perspective) in a unified framework. Its primary goal is to improve bank-level parallelism, and bank reuse is taken into account only if doing so does not hurt bank-level parallelism. Our experiments with this strategy using eight application programs on both a simulator and a real multicore system show an average BLP improvement of 46.8% and an average execution time reduction of 18.3%.
Sprache
Englisch
Identifikatoren
DOI: 10.1109/MICRO.2016.7783760
Titel-ID: cdi_ieee_primary_7783760

Weiterführende Literatur

Empfehlungen zum selben Thema automatisch vorgeschlagen von bX