Sie befinden Sich nicht im Netzwerk der Universität Paderborn. Der Zugriff auf elektronische Ressourcen ist gegebenenfalls nur via VPN oder Shibboleth (DFN-AAI) möglich. mehr Informationen...
Ergebnis 23 von 1255
IEEE transactions on very large scale integration (VLSI) systems, 2016-12, Vol.24 (12), p.3460-3467
2016

Details

Autor(en) / Beteiligte
Titel
Design Tradeoffs of Vertical RRAM-Based 3-D Cross-Point Array
Ist Teil von
  • IEEE transactions on very large scale integration (VLSI) systems, 2016-12, Vol.24 (12), p.3460-3467
Ort / Verlag
New York: IEEE
Erscheinungsjahr
2016
Link zum Volltext
Quelle
IEEE Xplore
Beschreibungen/Notizen
  • The 3-D integration of resistive switching random access memory (RRAM) array is attractive for low-cost and high-density nonvolatile memory application. In this paper, the design tradeoffs of select transistor drivability, RRAM device characteristics, such as switching current (I W ), ON/OFF-state resistance (R ON /R OFF ), and I-V nonlinearity ratio, interconnect material, and write/read scheme are systematically analyzed using a 3-D circuit simulation. The simulation results show that insufficient current drivability of the vertical transistor severely limits the number of 3-D layers. A low switching current (high R ON ) or a high nonlinearity is beneficial for improving the write margin, while it degrades the read current sense margin. To alleviate this conflict, the read voltage needs to be boosted to the half write voltage. The common RRAM electrode material TiN is not suitable for the interconnect material due to a high resistivity. To improve write energy efficiency, a multiple-bit write scheme is proposed to reduce the write energy consumption per bit and enable a high bandwidth. With R ON = 500 kΩ (I W = 6 μA) and nonlinearity ratio = 10, 1-Mb 3-D vertical RRAM subarray is feasible to meet the specified write/read margin with ~2-pJ/bit energy consumption.

Weiterführende Literatur

Empfehlungen zum selben Thema automatisch vorgeschlagen von bX