Sie befinden Sich nicht im Netzwerk der Universität Paderborn. Der Zugriff auf elektronische Ressourcen ist gegebenenfalls nur via VPN oder Shibboleth (DFN-AAI) möglich. mehr Informationen...
Ergebnis 8 von 5143
IEEE transactions on computer-aided design of integrated circuits and systems, 2023-08, Vol.42 (8), p.2761-2765
2023

Details

Autor(en) / Beteiligte
Titel
Clock-Latency-Aware Fault-Tolerant DLL for Multi-Die Clock Synchronization
Ist Teil von
  • IEEE transactions on computer-aided design of integrated circuits and systems, 2023-08, Vol.42 (8), p.2761-2765
Ort / Verlag
New York: IEEE
Erscheinungsjahr
2023
Link zum Volltext
Quelle
IEEE Xplore
Beschreibungen/Notizen
  • A delay-locked loop (DLL) circuit is indispensable for clock synchronization in a chip incorporating several heterogeneous dice. It has been shown previously that a fault and soft-error-tolerant DLL can be achieved by triple-module redundancy (TMR) enhanced with a timing correction scheme. However, the prior work still has a severe limitation-it does not consider the latency of the clock tree, and this limitation will make it infeasible in realistic situations. We demonstrate in this article that this limitation can be overcome by a new "clock-latency-aware" architecture, thereby making a fault and soft-error-tolerant DLL truly realistic.
Sprache
Englisch
Identifikatoren
ISSN: 0278-0070
eISSN: 1937-4151
DOI: 10.1109/TCAD.2022.3226156
Titel-ID: cdi_crossref_primary_10_1109_TCAD_2022_3226156

Weiterführende Literatur

Empfehlungen zum selben Thema automatisch vorgeschlagen von bX